Fpga xilinx vhdl Jobs

Filter

Meine letzten Suchanfragen
Filtern nach:
Budget
bis
bis
bis
Typ
Fähigkeiten
Sprachen
    Jobstatus
    2,000 fpga xilinx vhdl Gefundene Jobs, Preise in EUR

    Ich benötige Unterstützung bei der Programmierung in Assembler and VHDL. Die Aufgaben sind nur in Deutscher Sprache verfügbar. Ich brauche Deine Unterstützung am Dienstag den 6. April von 11 bis 13 Uhr. Ich kann Dir vorab Beispielaufgaben zusenden.

    €123 (Avg Bid)
    €123 Gebot i.D.
    5 Angebote

    Hallo Rajameenakshi V., ich benötige Hilfe bei einem MPC5748G Prozessor. Ich habe ein fertiges Projekt und das funktioniert sehr gut auf FPGA ich benötige dieses Projekt in diesem Prozessor ist das möglich dass man mir die Code schreibt.

    €241 (Avg Bid)
    €241 Gebot i.D.
    1 Angebote

    Hallo Rajameenakshi V., ich benötige Hilfe bei einem MPC5748G Prozessor. Ich habe ein fertiges Projekt und das funktioniert sehr gut auf FPGA ich benötige dieses Projekt in diesem Prozessor ist das möglich dass man mir die Code schreibt.

    €241 (Avg Bid)
    €241 Gebot i.D.
    1 Angebote

    Hallo Senthil Velmurugan G., wir haben dich vor einem Jahr besucht und über FlexRay unterhalten. Das mit dem FlexRay Board was wir damals vor hatten das funktioniert soweit, das wollen wir das gerne einmal auf einem anderen Prozessor aufbauen ist das möglich dass du uns eine fertige Lösung hilfst umzubauen auf einen anderen Prozessor? Wir haben das auf einem FPGA aufgebaut in einem VDHL code das funktioniert sehr gut aber wir wollen den Prozessor wechseln.

    €241 (Avg Bid)
    €241 Gebot i.D.
    1 Angebote
    VHDL Verilog Beendet left

    Kann mir jemand helfen dieses Verilog Problem zu lösen?

    €33 (Avg Bid)
    €33 Gebot i.D.
    2 Angebote

    Hardware Entwicklung für Fuel Management und Fuel Gauging Systeme für ein Kunden in der Luft- und Raumfahrt-Branche. Suche nach erfahrenen und eigenständigen Hardware-Ingenieuren mit Durchsetzungsvermögen, die über Expertenwissen in der Elektrotechnik / Mess- u. Regelungstechnik verfügen. Als Vorraussetzungen gelten: - gute Kenntnisse in der...Raumfahrt-Branche. Suche nach erfahrenen und eigenständigen Hardware-Ingenieuren mit Durchsetzungsvermögen, die über Expertenwissen in der Elektrotechnik / Mess- u. Regelungstechnik verfügen. Als Vorraussetzungen gelten: - gute Kenntnisse in der Digital- / Analotechnik - Kenntnisse von Simulations- und Prüfumgebungen - Kenntnisse mit technischer Dokumentation/Nachweisführung. Technisc...

    €4832 (Avg Bid)
    €4832 Gebot i.D.
    3 Angebote

    Hi Adnan M., ich entwickle dsp systeme auf fpga's und benoetige unterstützung. haettest zu zeit eine testaufgabe zur probe durchzuführen? ich denke so im rahmen von 20-40h im mai. ich benoetige einen DMA test, also die maximale transfergeschwindigkeit von einem PDM pin (input) bis in den linux userspace. HW und xilinx build-server sind vorhanden. ich habe das board (z-turn myr) bis jetzt noch nicht getestet. das input sollte als vivado AXI4lite IP auf der GP clock (100MHz) rund um die 400 MB/s bringen. lg philip

    €9 / hr (Avg Bid)
    €9 / hr Gebot i.D.
    1 Angebote

    Worum es im Projekt geht: • Optische Diagnose von Fahrzeugen im Werkstatt Umfeld • Fehlertoleranter Betrieb über Netzwerke • Kommunikation von Bildverarbeitungssystemen • Performante Prozesse auf embedded Hardware (ARM-dualcore und FPGA) Was Sie bei uns tun werden: • Entwurf und Dokumentation von Software • Entwicklung und Modifikation von Software • Analyse der Netzwerkkommunikation • Entwicklung störungsstabiler Abläufe • Mitarbeit bei der Erprobung der Software • Entwurf und Umsetzung der Systemarchitektur Optionale Mitarbeit bei: • der Planung und Umsetzung von Deployment- und Updateprozessen im embedded Bereich • der Konfiguration eines Linux-embedded-Systems (auf Paket und Kernel-Eben...

    €54 / hr (Avg Bid)
    €54 / hr Gebot i.D.
    7 Angebote

    I'm looking for an expert in VHDL to develop a Field Programmable Gate Array (FPGA) project focused on pitch detection, specifically for speech recognition purposes. The system needs to be able to process live audio input. Required Skills: • Expertise in VHDL • Experience with FPGA development • Knowledge of audio processing, specifically pitch detection for speech recognition purposes If you have the above skills and experience, please make an informed bid.

    €447 (Avg Bid)
    €447 Gebot i.D.
    6 Angebote

    I'm seeking a proficient FPGA engineer with a background in digital signal processing (DSP), specifically audio processing. For this task, the primary focus is to work on pitch detection in real time. Skills & experience: - Must be well versed in DSP tasks, primarily audio processing. - Proficiency in pitch detection is critical. - Experience with FPGA designs for real-time applications is necessary. Ultimately, the hired expert will help me use field-programmable gate array technology to detect pitch effectively and efficiently in real-time. This will offer a remarkable boost in the performance and functionality of my ongoing audio analysis project.

    €15 / hr (Avg Bid)
    €15 / hr Gebot i.D.
    8 Angebote

    I'm in urgent need of skilled VHDL/Quartus professionals from Pakistan for a project. I will clarify the specifics once a mutual understanding and agreement is reached. Ideal skills for the job include: - Proficiency in VHDL/Quartus - Ability to design, troubleshoot and optimize digital circuits - Ability to work independently or with minimal supervision - Excellent communication skills to effectively explain intricate concepts or problems Experience level can range from beginner to expert. The expectation, however, is the ability to deliver quality work within the stipulated time-frame.

    €199 (Avg Bid)
    €199 Gebot i.D.
    3 Angebote

    I am currently working on a traffic light project and I need the expertise of a VHDL programming guru. Someone who has had previous experience programming the FPGA DEO Nano development board would be a perfect fit, as that's what I am specifically working with. I am using VHDL to code for the EP4CE22F17C6N board. The base of my project, using a state machine, has already been created. As far as the hardware end of things, I've already prepared the circuit diagram and have started with LED lights and toggle switches. But I do need to make some changes in it as the requirement in order to make it more complex for that I need someone who can do the following additions or changes in the project that I have attached in my zip folder to work exactly as described ...

    €133 (Avg Bid)
    €133 Gebot i.D.
    7 Angebote
    10000 6 Tage left

    Stepper motor controller in FPGA which generates pulses according to command. verilog code

    €111 (Avg Bid)
    €111 Gebot i.D.
    1 Angebote

    hello, I have a project and I'm stuck at some point, please see the specifications in the zip it's for tomorrow morning budget:20$ language:french,arabic actually no need for much because I have already done rendering 1 and rendering...for tomorrow morning budget:20$ language:french,arabic actually no need for much because I have already done rendering 1 and rendering 2 of the project, now for rendering 3, I just need to modify the block diagrams, the truth table, the state graph and the memory map (which are all done during rendering 1) according to the modifications requested by the workbook. load... We don't need coding in vhdl, just make the modifications on rendering 1 according to the instructions for rendering 3 if ever we can do a 10 minute meeting to e...

    €21 (Avg Bid)
    €21 Gebot i.D.
    3 Angebote

    I need the FADNet CNN to be trained, optimized (purged), quantized and compiled to be used on the Xilinx DPU. You should know about NN, PyTorch, Python and the Vitis AI tools Tasks to do: - Train the NN - Optimize (prune) - Quantize - Compile

    €142 (Avg Bid)
    €142 Gebot i.D.
    20 Angebote

    I'm on the hunt for a skilled FPGA programmer, who possesses proficiency in both Verilog and Vivado, to construct and operate a user-friendly program for my FPGA board. The selected FPGA board is from the Xilinx Artix-7 family (part: xc7a100tcsg324-1). The program’s main responsibility will be to feature a rudimentary vending machine program with the following specifications: - Two component spaces which will each hold a distinct item. - A simplified interface featuring two push buttons as part of a keypad. - A capable card reader to handle seamless payment processing. - A clear 3 digit display that relays instructions and alerts to the user. An ideal candidate for this project should have extensive experience working with Artix-7 FPGA boa...

    €168 (Avg Bid)
    €168 Gebot i.D.
    12 Angebote
    Artix-7 FPGA Vending Machine Program 23 Stunden left
    VERIFIZIERT

    I'm on the hunt for a skilled FPGA programmer, who possesses proficiency in both Verilog and Vivado, to construct and operate a user-friendly program for my FPGA board. The selected FPGA board is from the Xilinx Artix-7 family (part: xc7a100tcsg324-1). The program’s main responsibility will be to feature a rudimentary vending machine program with the following specifications: - Two component spaces which will each hold a distinct item. - A simplified interface featuring two push buttons as part of a keypad. - A capable card reader to handle seamless payment processing. - A clear 3 digit display that relays instructions and alerts to the user. An ideal candidate for this project should have extensive experience working with Artix-7 FPGA boa...

    €91 (Avg Bid)
    €91 Gebot i.D.
    4 Angebote
    Cryptocurrency PCB Prototype Design 2 Stunden left
    VERIFIZIERT

    I need a proficient Embedded Engineer to design a PCB prototype for cryptocurrency mining. An efficient board that carries 1 to 16 chips (ASIC, FPGA, and/or Microcontrollers) is expected, with the ability to handle 5V (200W) Max. Strong expertise in Electrical Engineering and experience in designing a 4 layer PCB board is preferable; those with a background in cryptocurrency technology hold a significant advantage. Deliverables include the final working PCB prototype model. Reliability, accuracy, and attention to detail are crucial for this project. - BOM File has to be provided - CPL & Gerber files has to be provided - NEEDS to be cost-effective for mass production - Any mining algorithm should/could be programmable - Considerable high hashrate is expected - Raspberry Pi 3/4/5...

    €550 (Avg Bid)
    €550 Gebot i.D.
    19 Angebote

    I am in need of a seasoned FPGA programmer, proficient in Verilog and Vivado, who can build and run a program for me on a ZYNQ 7000 FPGA board. Our primary goal is: - To work on a program that performs Homomorphic Encryption Algorithm, by analysing its architecture - You'll need to identify the blocks responsible for addition and multiplication operations, as well as enumerate all IO used for these operations. Ideal candidate should have: - Extensive experience in conveying complex FPGA architectures in an understandable form - Proficiency in using Vivado for hardware simulation

    €16 / hr (Avg Bid)
    €16 / hr Gebot i.D.
    11 Angebote

    I'm in need of an FPGA expert with experience in VIVADO, to implement different edge detection algorithms, including Canny and Sobel, for the purpose of comparing their performance. Key Requirements: - Implement edge detection algorithms in VIVADO: The primary task is to develop and deploy edge detection algorithms in an FPGA, with a focus on Canny and Sobel techniques. - Algorithm Performance Evaluation: The main goal of this project is to compare the efficacy and efficiency of different edge detection algorithms, so you should have a strong background in image processing and be able to provide a thorough analysis of their performance. - Knowledge of other edge detection algorithms: While Canny and Sobel are the main focus, knowledge of other edge detection algorithms ...

    €118 (Avg Bid)
    €118 Gebot i.D.
    2 Angebote

    I'm in need of an FPGA expert with experience in VIVADO, to implement different edge detection algorithms, including Canny and Sobel, for the purpose of comparing their performance. Key Requirements: - Implement edge detection algorithms in VIVADO: The primary task is to develop and deploy edge detection algorithms in an FPGA, with a focus on Canny and Sobel techniques. - Algorithm Performance Evaluation: The main goal of this project is to compare the efficacy and efficiency of different edge detection algorithms, so you should have a strong background in image processing and be able to provide a thorough analysis of their performance. - Knowledge of other edge detection algorithms: While Canny and Sobel are the main focus, knowledge of other edge detection algorithms ...

    €13 (Avg Bid)
    €13 Gebot i.D.
    3 Angebote

    I'm seeking expertise in FPGA or DSP-based systems for an autonomous vehicle project. The primary objective is to design an object detection and tracking system with a specific focus on vehicles. Key responsibilities: - Develop a system that can effectively identify different types of vehicles Based on trained model. - Ensure its superiority in optimizing performance and decreasing latency. - Incorporate techniques that allow for the real-time operation of the autonomous vehicle. -RS-232/422 Serial Communication Ports. Ideal Skills and Experience: - Extensive knowledge in DSP or FPGA. - Proven experience in vehicle detection and tracking. - Versatility to craft a reliable solution for autonomous vehicles. - Expertise in real-time systems highly preferred. Your propos...

    €942 (Avg Bid)
    €942 Gebot i.D.
    20 Angebote

    Hello, my friend, I'm a Turkish student studying in Turkey. I'm asking for your help on a simple project. My professor has asked me to control motor speed using FPGA-based PID control. I've noticed that you're good at these topics and work with an economical approach, as I've read positive comments about you. I know this isn't a very difficult task. Can we discuss how much we can agree on for this? Please keep in mind that I'm a student and in a difficult situation. Can you help me?

    €9 / hr (Avg Bid)
    €9 / hr Gebot i.D.
    1 Angebote

    I'm working on a project that involves evaluating image quality using machine learning on an FPGA. Key Requirements: - The primary goal of this project is to achieve highly accurate results in image quality. - The images I'll be evaluating are mostly photographs. - I'm looking to implement a Convolutional Neural Network (CNN) model for this project. Key Skills/Experience needed: - Proficiency with FPGA development, particularly with VIVADO. - Strong background in image processing and machine learning. - Previous experience with implementing CNN models on FPGA for image quality evaluations would be a great plus. If you're confident in your FPGA skills, have a background in image processing and ML, and have worked with CNN models, I'm ...

    €15 (Avg Bid)
    €15 Gebot i.D.
    3 Angebote

    I'm in need of an expert in FPGA and machine learning with a focus on Convolutional Neural Network (CNN) and YOLO algorithms. The primary goal of this project is to evaluate image quality with the maximum possible accuracy. Some key details: - FPGA: Experience with VIVADO is highly preferred. - Machine Learning: A strong background in implementing CNN and YOLO algorithms is essential. - Image Size: The desired input image size is 416x416. The project aims to achieve high accuracy in image quality evaluation through these machine learning algorithms on the FPGA. The freelancer is expected to work closely with me to ensure the project meets the desired outcomes.

    €11 (Avg Bid)
    €11 Gebot i.D.
    4 Angebote

    I'm seeking an FPGA expert to help with the hardware acceleration of my trading operations. Key Requirements: - The main goal of this project is to accelerate trading operations using FPGA technology. - The specific trading applications that need acceleration include high-frequency trading and algorithmic trading. Ideal Skills: - Strong experience in FPGA design and implementation. - Deep understanding of hardware acceleration techniques. - Background in trading or finance (highly preferred but not mandatory). - Familiarity with high-frequency and algorithmic trading algorithms. This project offers a great opportunity for a skilled FPGA engineer to work on a challenging and rewarding subject area.

    €36 / hr (Avg Bid)
    €36 / hr Gebot i.D.
    12 Angebote

    I'm seeking a skilled FPGA developer to construct an intermediate-level chessAI project. The AI is expected to run real-time on a Spartan-7 FPGA board, using Vivado and Vitis. Key Project Details: - **Real-time Performance:** The AI should be optimised for real-time operation on the FPGA board. - **Intermediate Complexity:** The chessAI should be capable of intermediate-level game play, providing engaging and challenging performance. - **FPGA Model:** The project is designed for a Spartan-7 FPGA board, hence prior experience with this model is preferable. Key Skill Requirements: - Proficiency in FPGA development, particularly with Vivado and Vitis. - Prior experience in designing chessAI or comparable AI projects. - Expertise in optimising A...

    €155 (Avg Bid)
    €155 Gebot i.D.
    7 Angebote

    DEADLINE 21st I need an Object Detection(displays text on screen of object name) & Live Streaming system(records video when switch or button pressed), all to be implemented on a Zybo Z7 board with a pcam 5c camera module. Here are the details: - **Programming Language**: The system needs to be developed using verilog and xlinx tools. - **Standalone or Integrated**: I'm looking for the Object Detection & Live Streaming system to be integrated with zyboz7 and pcam5c. - **Functionality**: The system should perform real-time object detection and identification, as well as record and store live streams for later analysis. Finally report that includes tests/testbenches should be included based on requirements in

    €203 (Avg Bid)
    €203 Gebot i.D.
    10 Angebote

    I'm looking for a developer to create a system for my Zybo Z7 board that can detect p...Requirements: - Object Detection: The system should be able to detect people accurately. - Real-time Video Streaming: The video feed should be streamed in real-time. - Text Overlay: The detection results should be displayed as a text overlay on the video. Skills/Experience Required: - Proficient in Xilinx SDK and Xilinx Vivado. - Strong background in object detection, particularly with people. - Previous experience with video processing and streaming. - Knowledge of FPGA programming and VHDL/Verilog is a plus. Please note that my budget for this project is $60. I'm open to hearing from freelancers who can deliver within this budget. I have worked on single pixel...

    €56 (Avg Bid)
    €56 Gebot i.D.
    2 Angebote

    HDL Coder compatible simulink model design will be made with the subject titled MODEL BASED DESIGN OF GAUSS FILTER APPLICATIONS FOR FPGA APPLICATIONS. Gauss filter can be applied on the image. The design will not contain any code and will be designed only with functions.

    €59 (Avg Bid)
    €59 Gebot i.D.
    8 Angebote
    Project VHDL Beendet left

    Hi ExpertSoul, I noticed your profile and would like to offer you my project. We can discuss any details over chat.

    €234 (Avg Bid)
    €234 Gebot i.D.
    1 Angebote

    I am looking to integrate two ultrasonic sensors onto a Nexys A7 FPGA board using VHDL. My primary goal is using these sensors for object tracking and distance measurement. Integrate TWO HCSR-04 ultrasonic sensors to an Nexys A7 fpga board so that I can read data from both sensors. I need for the seven-segment display that is on the board to show the distances measured. Using a pushbutton on the board, have the display change to show readings from sensor 1 and when pushed the display shows readings from sensor 2 and vice versa. So basically, the pushbutton toggles which sensor's measurement is displayed on the seven segment display. Also, another push button will be used to toggle back and forth between displaying the distance in centimeters (cm) or inches (in) f...

    €147 (Avg Bid)
    €147 Gebot i.D.
    13 Angebote

    I am looking to integrate two ultrasonic sensors onto a Nexys A7 FPGA board using VHDL. My primary goal is using these sensors for object tracking and distance measurement. Integrate TWO HCSR-04 ultrasonic sensors to an Nexys A7 fpga board so that I can read data from both sensors. I need for the seven-segment display that is on the board to show the distances measured. Using a pushbutton on the board, have the display change to show readings from sensor 1 and when pushed the display shows readings from sensor 2 and vice versa. So basically, the pushbutton toggles which sensor's measurement is displayed on the seven segment display. Also, another push button will be used to toggle back and forth between displaying the distance in centimeters (cm) or inches (in) f...

    €82 (Avg Bid)
    Dringend
    €82 Gebot i.D.
    8 Angebote

    I am looking for a freelancer to help me with a project that involves evaluating image quality with implementing machine learning algorithms on an FPGA. VIVADO would be preferred to work on. I am seeking a detailed project proposal from freelancers. with Verilog coding Ideal skills/experience: VERILOG VIVADO

    €41 (Avg Bid)
    €41 Gebot i.D.
    5 Angebote

    As someone who is keen on enhancing the efficiency of our digital design processes, I am looking for ...Developing SAT solver and DPLL algorithm. 1. C++ programming: The engineer will be required to focus mainly on enhancing and implementing algorithms. 2. Digital circuit design: Basic understanding and experience in digital circuit design is essential. Ideal Profile: - Strong C++ programming skills - Experience in implementing algorithms using C++- Digital Design Experience While the experience in FPGA programming and knowledge on HDL are beneficial, the prime focus will be on C++ software development and digital design. Hence, I'm primarily seeking a candidate who excels in the field of programming over circuit design. Nonetheless, an individual who combines both will be t...

    €14 / hr (Avg Bid)
    €14 / hr Gebot i.D.
    16 Angebote

    I'm seeking a proficient VHDL engineer to assist in the development of digital signal processing on a Basys Board 3, requiring knowledge in digital and analog inputs and outputs. The specifics of the digital signal processing algorithm are unclear at this stage due to omitted information. Key skills and experience needed: - Proficiency with VHDL and Basys Board 3 - Sound understanding of both analog and digital inputs and outputs - Aptitude for problem-solving and working with incomplete details - Prior experience in digital signal processing is advantageous.

    €520 (Avg Bid)
    €520 Gebot i.D.
    26 Angebote

    ...detail-oriented developer to create a Custome PCILeech firmware for SCREAMER PCIE SQUIRREL direct access memory card utilizing the 7 Series FPGA 35t chip. Firmware must emulate a real PCIe device and handle TLP requests. Make sure to use the PCILeech bitstream made for the Squirrel. Firmware must bypass and avoid anti-cheat detection on EAC/BE etc. Responsibilities: - Develop firmware for PCILeech FPGA - Debugging and problem-solving throughout firmware development Skills & Experience: - Strong experience in FPGA programming and firmware development - Excellent debugging and problem-solving skills - Experience with high-speed data transmission - Proficiency with VHDL/Verilog languages The timeline for project completion is flexible, indicating a strong...

    €508 (Avg Bid)
    €508 Gebot i.D.
    29 Angebote

    For this project, I need a skilled Verilog programmer with FPGA implementation experience. The key task is to encode a 4x4 binary (black and white) image into an 8x8 image using least significant bit replacement. Key Responsibilities: - Implementing a least significant bit replacement algorithm. - Delivering clean and efficient Verilog code. - Ensuring compatibility with FPGA hardware. Required Skills and Experience: - Proficiency in Verilog code - Understanding of LSB replacement - Experience with FPGA implementation - Working knowledge of image processing, specifically with binary images.

    €13 (Avg Bid)
    €13 Gebot i.D.
    5 Angebote

    I am looking for a skilled Verilog coder with experience in advanced digital circuit design and implementation. Tasks will involve designing and implementing complex circuits, specifically those involving CPUs or intricate state machines. Key Responsibilities: - Design and imp...CPUs or intricate state machines. Key Responsibilities: - Design and implement advanced digital circuits - Test and debug created designs - Maintain documentation of design process and circuit function Skills & Experience: - Expertise in Verilog coding - Experience with complex digital circuit design and implementation - Familiarity with CPUs and complex state machines - Proficiency in using Xilinx Vivado for running Verilog simulations Please ensure you have this experience before placing a bid on...

    €122 (Avg Bid)
    €122 Gebot i.D.
    8 Angebote

    Completing an intermediate-level circuit simulation is on the top of my agenda, and time is of the essence. Key Requirements: - Generate a simulation circuit using either Verilog or VHDL. - The complexity level should be intermediate, meaning that it should include components such as adders, decoders, and multiplexers. Ideal Candidate: An experienced freelancer with a strong background in circuitry and simulation languages such as Verilog or VHDL. Quick response and comprehension of task requirements are paramount due to the urgency of the project. Remember, the successful completion of this project is deemed urgent. Therefore, a prompt response and start are appreciated.

    €86 (Avg Bid)
    €86 Gebot i.D.
    22 Angebote

    I am looking for an expert in FPGA to develop an advanced video mixer capable of integrating multiple sources of video through an HDMI input. This device will be largely used for performing real-time video mixing with a primary focus on merging several HDMI inputs. The ideal output interface that the mixer should extensively support is the HDMI output. It's essential that you have: - Proven experience in FPGA programming and design. - Knowledge of HDMI protocols and signal processing. - Understanding of video data handling for a range of HDMI inputs. - Experience in delivering HDMI output for video mixers. This project will require an understanding of HDMI input and HDMI output configurations to ensure seamless video mixing. It's critical for this project that...

    €168 (Avg Bid)
    €168 Gebot i.D.
    9 Angebote

    I have a design that works with an Intel SoC, but I want a version using Efinix FPGA. It involves - FPGA providing control and timing pulses to the image sensor - LVDS data lines between the image sensor and the FPGA - DDR memory attached to the FPGA - a connection from FPGA to FT601 to make a USB3.0 connection (I know there are other ways to get the FPGA to make USB3.0 directly, but there's a reason why we want to use FT601 chip). This is the image sensor I think this FPGA would be suitable, but proposals can be discussed.

    €99 (Avg Bid)
    €99 Gebot i.D.
    5 Angebote

    I have a design that works with an Intel SoC, but I want a version using Efinix FPGA. It involves - FPGA providing control and timing pulses to the image sensor - LVDS data lines between the image sensor and the FPGA - DDR memory attached to the FPGA - a connection from FPGA to FT601 to make a USB3.0 connection (I know there are other ways to get the FPGA to make USB3.0 directly, but there's a reason why we want to use FT601 chip). This is the image sensor I think this FPGA would be suitable, but proposals can be discussed.

    €112 (Avg Bid)
    €112 Gebot i.D.
    4 Angebote

    I have a design that works with an Intel SoC, but I want a version using Efinix FPGA. It involves - FPGA providing control and timing pulses to the image sensor - LVDS data lines between the image sensor and the FPGA - DDR memory attached to the FPGA - a connection from FPGA to FT601 to make a USB3.0 connection (I know there are other ways to get the FPGA to make USB3.0 directly, but there's a reason why we want to use FT601 chip). This is the image sensor I think this FPGA would be suitable, but proposals can be discussed.

    €118 (Avg Bid)
    €118 Gebot i.D.
    4 Angebote

    I am looking for a skilled professional who will help to develop a facial detection system using the Viola Jones algorithm optimized on a FPGA. This project will include implementation of hardware acceleration to enhance real-time facial detection. The Skills and Experience Required: - Strong proficiency in C++ programming - Extensive experience with FPGA, specifically with the Digilent Nexys A7 model - In-depth knowledge of the Viola Jones algorithm - Prior experience in real-time facial detection projects

    €521 (Avg Bid)
    €521 Gebot i.D.
    22 Angebote

    I am seeking a proficient electronic engineer with an in-depth understanding of VHDL (high level logic design) it's related to xlinx and vivado

    €25 (Avg Bid)
    €25 Gebot i.D.
    7 Angebote

    In this project we will be implementing a control system using the Lattice iCE FPGA. The task at hand involves converting a schematic for a Synchronous Data Link Control (SDLC) data stream to an SPI Master data stream converter to Verilog or VHDL and then verifying the design through simulation. And finally creating the file that will be used to program the target part in production. The ideal freelancer for this job is proficient in working with FPGAs, preferably with a strong background in the Lattice iCE FPGA. I’m looking for someone adept in schematic to HDL conversion. Experience in working with SDLC data will serve as a plus. Please ensure that your experience and skills include: - FPGA development, specifically with the Lattice iCE. - Expertise...

    €49 / hr (Avg Bid)
    €49 / hr Gebot i.D.
    7 Angebote
    Review VHDL Code Beendet left

    We've written some VHDL code for FPGA we need someone to adapt it to our coding standard. we will share a report of all violations for a set of files and the VHDL code shall be modified as specified in the coding standard. To make an example all signals shall be names s_<signal_name>, signal test_sig : std_logic; -- violation! signal s_test_sig : std_logic; -- correct the code will be shared with a Gitlab repo, a dedicatd branch will be created to modify the original code. the code shall be compiled, to chekc no errors were introduced. as soon as the code is delivered we wil rerun the checker to chek for residual errors, and we would provide a feedback (unless the freelancer has the same checker tool)

    €451 (Avg Bid)
    €451 Gebot i.D.
    26 Angebote